|
|
|
|
|
|
|
|
|
|
|
|
Default bins creation
|
|
|
Like default branch in a case statement, default bin captures all the values that are not covered by implicity bin definition. Coverage values hit in default bin are not taken account while reporting coverage. |
|
|
|
|
|
|
|
|
|
|
|
Example : Default bins creation
|
|
|
|
|
|
1 module test();
2
3 logic [7:0] addr;
4 reg ce;
5
6 covergroup address_cov () @ (posedge ce);
7 ADDRESS : coverpoint addr {
8 bins low = {0,10};
9 // All other values are not counted in coverage calculation
10 bins lazy = default;
11 }
12 endgroup
13
14 address_cov my_cov = new();
15
16 initial begin
17 ce <= 0;
18 addr <= 0;
19 $monitor("ce %b addr 8'h%x",ce,addr);
20 repeat (10) begin
21 addr = $random();
22 ce <= 1;
23 #10 ;
24 ce <= 0;
25 #10 ;
26 end
27 end
28
29 endmodule
You could download file default_bin.sv here
|
|
|
|
|
|
Simulation : Default bins creation
|
|
|
|
|
|
ce 1 addr 8'h00
ce 0 addr 8'h00
ce 1 addr 8'h81
ce 0 addr 8'h81
ce 1 addr 8'h09
ce 0 addr 8'h09
ce 1 addr 8'h63
ce 0 addr 8'h63
ce 1 addr 8'h0d
ce 0 addr 8'h0d
ce 1 addr 8'h8d
ce 0 addr 8'h8d
ce 1 addr 8'h65
ce 0 addr 8'h65
ce 1 addr 8'h12
ce 0 addr 8'h12
ce 1 addr 8'h01
ce 0 addr 8'h01
ce 1 addr 8'h0d
ce 0 addr 8'h0d
|
|
|
|
|
|
Report : Default bins creation
|
|
|
|
|
|
===========================================================
Group : test::address_cov
===========================================================
SCORE WEIGHT GOAL
100.00 1 100
-----------------------------------------------------------
Summary for Group test::address_cov
CATEGORY EXPECTED UNCOVERED COVERED PERCENT
Variables 1 0 1 100.00
Variables for Group test::address_cov
VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT
ADDRESS 1 0 1 100.00 100 1
-----------------------------------------------------------
Summary for Variable ADDRESS
CATEGORY EXPECTED UNCOVERED COVERED PERCENT
User Defined Bins 1 0 1 100.00
User Defined Bins for ADDRESS
Excluded/Illegal bins
NAME COUNT
lazy 9 Excluded
Covered bins
NAME COUNT AT LEAST
low 1 1
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Copyright © 1998-2014 |
Deepak Kumar Tala - All rights reserved |
Do you have any Comment? mail me at:deepak@asic-world.com
|
|