|
|
1 module test();
2
3 logic [2:0] addr;
4 reg ce;
5
6 covergroup address_cov () @ (posedge ce);
7 ADDRESS : coverpoint addr {
8 ignore_bins ignore_tran = (0=>2=>1);
9 ignore_bins ignore_vals = {0,1,2,3};
10 }
11 endgroup
12
13 address_cov my_cov = new();
14
15 initial begin
16 ce <= 0;
17 $monitor("ce %b addr 8'h%x",ce,addr);
18 repeat (10) begin
19 ce <= 1;
20 addr <= $urandom_range(0,7);
21 #10 ;
22 ce <= 0;
23 #10 ;
24 end
25 end
26
27 endmodule
You could download file ignore_bin.sv here
|